Публікація:
Проведення діагностичних експерементів у керуючих автоматах з використанням синхроізуючих послідовностей

Завантаження...
Зображення мініатюри

Дата

2018

Назва журналу

ISSN журналу

Назва тома

Видавництво

Харьковский национальный университет радиоэлектроники

Дослідницькі проекти

Організаційні підрозділи

Видання журналу

Анотація

Розглядаються питання підвищення надійності функціонування систем логічного управління в системах газопостачання. Наводиться алгоритм роботи автоматичної газорозподільної станції, граф переходів керуючого автомата та його модель на мові опису апаратури. Для діагностування керуючого автомату пропонується проводити «неруйнівний» діагностичний експеримент з обходу всіх вершин (дуг) графа переходів автомата з використанням синхронізуючих послідовностей. Наводиться приклад побудови синхронізуючої послідовності та діагностичний експеримент з її використанням. Моделювання VHDL-моделі при проведенні діагностичного експерименту здійснювалося в середовищі Active-HDL.

Опис

Ключові слова

діагноз, електроенергетика, HDL-модель, мови опису апаратури, diagnosis, electroenergetics, HDL model, language of description of equipment

Бібліографічний опис

Проведення діагностичних експерементів у керуючих автоматах з використанням синхроізуючих послідовностей / Шкіль О.С., Мірошник М.А., Рожнова Т.Г., Пахомов Ю.В., Караман Д.Г. // Радиоэлектроника и информатика : научно-технический журнал / М-во образования и науки Украины ХНУРЭ. – Харьков, 2018. – № 3. С.82--89

DOI